公司新闻 行业动态

米乐M6半导体产业深度研究报告:国产替代20新兴需求崛起

发布时间:2023-05-13      来源:网络


  米乐M6APP从各领域营收及其增速、利润率及其变动对比来看,1)代工、设备、材料板块营收增速突出, 代工板块盈利水平提升显著。2)设计企业中,ADI、瑞萨、AMD、高通、英伟达增速突出。

  从 22Q1 库存情况看,代工、设备、材料板块单季存货/营收占比同比环比下行明显,强劲景气凸显。同时,设备库存周转天数下行反映设备上游供给受限。

  从全球大厂景气展望看,1)长期乐观,硅含量提升、终端升级等驱动的长期趋势不变。 2)上游设备材料供给持续紧张。3)H2 优于 H1。

  2022 Q2 全球半导体销售额稳健,在所有主要区域市场和产品类别中均有增长。据 SIA 数据,全球半导体 6 月销售额较平稳,6 月销售额 508.2 亿美元,yoy+6%,mom-2%; 二季度全球芯片销售额为 1525 亿美元,同比+13.3%,环比+0.5%。据 Gartner,由于 持续的云基础设施投资,来自数据中心市场的半导体收入将在更长的时间内保持弹性, 预计 2022 年增长 20%;另外,由于单车含硅量随电气化及智能化提升,汽车半导体行 业也将在未来三年内实现两位数增长。

  中国半导体市场稳健,欧美半导体市场增速较高预计包含通胀影响。分地区看 6 月半导 体销售额,中国销售额 165.4 亿美元,yoy+5%,mom-3%,全球占比 33%。欧洲销售 额 43.9 亿美元,yoy+12%,mom-1%,全球占比 9%。美洲销售额 43.9 亿美元,yoy+29%, mom-1%,全球占比 24%。亚太地区销售额 302.4 亿美元,yoy+8%,mom-3%,全球 占比 60%。

  据数据威数据,中国电子元器件市场 6 月销售均价 21.69 元/件,同比+45%,环比+8%; 6 月销量额 1.59 亿元,同比+14%,环比+29%;销售量 0.0735 亿件,同比-22%,环 比+20%。

  在经历 2021 年的出货量短暂复苏后,全球智能手机出货量出线第二轮出货量走低现象。 根据 IDC 数据,2022 年 Q2 季度,全球智能手机出货量减少至 2.86 亿台,同比下跌 9.5%,是全球疫情爆发以来,继 2020 年 Q2 后的新季度低点。具体来看,2022 年 Q2, 三星智能手机出货量为 6240 万台,占比市场份额 21.8%,同比 2021 年 Q2 季度上涨为 +7.6%,环比下跌为-15.2%;苹果智能手机出货量为 4460 万台,占比市场份额 17%; 小米智能手机出货量达到 3950 万台,占比市场份额 13.8%。 根据IDC数据修正后,预测2022年全球智能手机出货量从增长1.6%调整至下降3.5%, 总量下降至 13.1 亿部。根据 2022 年 H1 出货量总计 6 亿部来看,下半年至少出货要达 成 7.1 亿部左右,根据 2019/2020/2021 年,历史三年第四季度环比第三季度增长+ 2.9%/9.1%/9.4%,2022 年第三季度/第四季度,假设出货量平均要达到 3.5 亿部,环比 2022 年第二季度增长 18.1%。

  2022 年 1-6 月,根据中国工信部数据,国内市场手机总体出货量累计 1.36 亿部,同比 下降 21.7%,其中 5G出货量总计 1.09 亿部,同比下降 14.5%,占同期手机出货量 80.2%。 2022 年上半年在国内疫情影响下,手机相关线上线下物流及门店销售渠道受限,导致上 半年消费电子设备成疲软态势。我们认为根据下半年疫情影响趋弱,物流及线下门店逐 步恢复以及消费刺激等因素带动下,手机相关等消费电子设备将逐步回暖。

  根据联发科 2022 年第二季度财报显示,联发科第二季度营收 1557 亿新台币(约 350.13 亿元人民币),同比增长 23.9%;归母净利 354.37 亿新台币,同比增长 28.8%。同时联 发科调整全年营收增速预期从 20%下调至 16%-19%,且对 2022 年全球智能手机出货 量预测为 12-12.7 亿部,公司预期今年 5G 手机发货量达到 6 亿部,同比增长 20%,整 体随削减出货预期,但公司仍对下半年保持信心。

  同时国内北京、深圳、上海等城市,出台相应消费类设备补贴政策,上海人民政府印发 《上海市加快经济恢复和重振行动方案》,第 20 条指导“实施家电以旧换新计划,对绿色智能家电、绿色建材、节能产品等消费按规定予以适当补贴,支持大型商场、电商平 台等企业以打折、补贴等方式开展家电以旧换新、绿色智能家电和电子消费产品促销等 活动”,北京商务局《北京市商务局关于实施促进绿色节能消费政策的通知》及深圳商务 局发布《深圳市消费电子和家用电器购置补贴申请工作指引》等,指导通过购买消费类 产品及家电类等产品,可申请购置补贴。我们认为,国内随疫情影响趋弱,线上线下消 费恢复,且政策支持等因素,2022 年下半年有望迎来整体消费电子领域恢复。

  国内晶圆厂投资进入高峰期。根据集微网统计,2020~2022 年国内晶圆厂总投资金额分 别约 1500/1400/1200 亿元,其中内资晶圆厂投资金额约 1000/1200/1100 亿元。 2020~2022 年国内晶圆厂投资额将是历史上最高的三年,且未来还有新增项目的可能。

   12 寸晶圆厂建厂潮带动设备需求持续增长。生产效率及降低成本因素推动下,全 球 8 寸扩产放缓,12 寸晶圆厂扩产如火如荼。2020 年以来,国内 12 寸晶圆厂遍地开 花,除中芯国际外,闻泰、格科微、海芯等公司纷纷计划建设 12 寸晶圆厂,粤芯半导体、 华虹无锡等 12 英寸生产线陆续建成投产。根据 SEMI,2019 年至 2024 年,全球至少新 增 38 个 12 寸晶圆厂,其中中国 11 个,中国 8 个,到 2024 年,中国 12 寸晶 圆产能将占全球约 20%。大量晶圆厂的扩建、投产,将带动对上游半导体设备的需求提 升,更有望为国产化设备打开发展空间。

  中芯国际、华虹 CapEx 持续上行。中芯国际 2021 年资本开支维持高位,达到 45 亿美 金(大部分用于扩成熟制程,尤其是 8 寸数量扩 4.5 万片/月),预计 2022 年达到 50 亿 美金。华虹 2021 年全年资本开支 9.39 亿美金,其中 8.39 亿美金用于 12 英寸扩产, 0.99 亿美金用于 8 英寸产能。公司 2022 年规划资本开支超过 15 亿美金,其中 12 寸产 能从 65K 增加到 95K,资本开支预计 14 亿美金,8 寸厂升级提升效率,预计开支约 1.8 亿美金。根据公司 2022Q1 法说会,华虹无锡二期规划开始进行,技术上延展特色工艺 平台,相关工作在抓紧推进中。 长存、长鑫产品研发迭代,加速追赶海外龙头。合肥长鑫从 19nm 向 17nm 转移,加速 技术提升,在北京设厂进一步扩产。长江存储 2019 年开始量产 64 层 3DNAND,2020 年 4 月发布 128 层 3DNAND,2022 年 8 月正式推出基于 Xtacking3.0 技术的 TLC 三维闪存 X3-9070,相比上一代产品,存储密度更高,I/O 速度更快,高达 2400MT/s, 提升 50%,并采用 6-plane 设计,在性能提升超过 50%的情况下,功耗降低 25%。此 次新品推出,公司加速追赶步伐,进一步缩小与海外龙头差距。 长存、长鑫开启存储产业国产替代大幕。长江存储二期合计规划产能 30 万片/月,合肥 长鑫规划三期产能,全部投产后达到 36 万片/月。长江存储、合肥长鑫作为国内存储产 业发展重镇,在打开存储产业国产替代局面中具有重要作用。并且国内的存储产业对于 半导体设备及材料都将具有重要的拉动作用。

  2021年全球半导体设备市场规模创1026亿美元新高,占比全球第一。根据SEMI, 2021 年半导体设备销售额 1026 亿美元,同比激增 44%,全年销售额创历史新高。 设备市场在 2013 年之前占全球比重为 10%以内,2014~2017 年提升至 10~20%,2018年之后保持在 20%以上,份额呈逐年上行趋势。2020-2021 年,国内晶圆厂投建、半导 体行业加大投入,半导体设备市场规模首次在市场全球排首位,2021 达到 296.2 亿 美元,同比增长 58%,占比 28.9%。展望 2022 年,存储需求复苏,韩国预计将领跑全 球,但设备市场规模有望保持较高比重。

  设备国产化率较低,海外龙头垄断性较高。我国半导体设备市场仍非常依赖进口,从市 场格局来看,细分市场均有较高集中度,主要参与厂商一般不超过 5 家,top3 份额往往 高于 90%,部分设备甚至出现一家独大的情况,目前国内厂商目标市场主要是国内晶圆 厂需求,尤其是内资投建的需求。 制程越先进,设备投资额占比越高。设备投资一般占比 70~80%,当制程到 16/14nm 时, 设备投资占比达 85%;7nm 及以下占比将更高。光刻、刻蚀、沉积、过程控制、热处理 等均是重要投资环节。

  国内国产化逐渐起航,从 0 到 1 的过程基本完成。北方华创产品布局广泛,刻蚀机、 PVD、CVD、氧化/扩散炉、退火炉、清洗机、ALD 等设备新产品市场导入节奏加快,产 品工艺覆盖率及客户渗透率进一步提高,在集成电路领域主流生产线实现批量销售,产 品加速迭代;第三代半导体、新型显示、光伏设备产品线进一步拓宽,出货量实现较快 增长。拓荆科技作为国内唯一一家产业化应用 PECVD 和 SACVD 设备的供应商,PECVD 累计发货 150 台,广泛用于中芯国际、华虹集团、长江存储、合肥长鑫、厦门联芯、燕 东微电子等国内主流晶圆厂,PEALD 已实现销售;中微公司介质刻蚀机已经打入 5nm 制 程,新款用于高性能 Mini-LED 量产的 MOCVD 设备 UniMax 2022Q1 订单已超 180 腔; 芯源微前道涂胶显影设备在 28nm 及以上多项技术及高产能结构方面取得进展,并实现 多种核心零部件的国产替代,公司前道物理清洗设备已经达到国际先进水平并成功实现 国产替代,新签订单结构中前道产品占比大幅提升;华海清科 CMP 设备在逻辑芯片、3D NAND、DRAM 制造等领域的工艺技术水平已分别突破至 14nm、128 层、1X/1Ynm,到 2021 年底,公司 CMP 设备累计出货超过 140 台,未发出产品的在手订单超 70 台。 Mattson(屹唐半导体)在去胶设备市占率全球第二;盛美半导体单片清洗机在海力士、 长存、SMIC 等产线量产。精测电子、上海睿励在测量领域突破国外垄断。

  根据招标网的数据统计,华虹无锡 2022 年上半年招标刻蚀设备 49 台,其中 Lam 中标 26 台,TEL 中标 5 台,中微公司中标 13 台,北方华创中标 4 台。中微公司中标的 13 台 具体为氧化膜等离子体刻蚀机 8 台,钝化膜等离子体刻蚀机 3 台,氮化硅等离子体刻蚀 机 2 台;北风华创分别中标多晶栅等离子体刻蚀机 2 台和有源区等离子体刻蚀机 2 台。

  积塔 2022H1 招标刻蚀设备 29 台,其中北方华创中标 13 台,中微公司中标 8 台,TEL 中标 3 台,Lam 中标 2 台。北方华创中标的 13 台设备分别为金属等离子刻蚀机 7 台, 多晶硅刻蚀机 5 台,铝刻蚀机 1 台。中微公司中标 7 台钝化层等离子刻蚀机,1 台通孔 深隔离槽钝化层介质层刻蚀机。

  从长江存储的中标信息看,截至 2021 年底,长江存储共招标刻蚀设备 452 台,其中 Lam 236 台,TEL 61 台,中微公司 59 台,应用材料 38 台,北方华创 26 台,SCREEN 13 台, 屹唐半导体 11 台。北方华创在刻蚀领域布局集中于硅刻蚀,设备品类对标 Lam,仍具 有较大潜力空间。 刻蚀机台种类多样。以 Lam Research 为例,在长江存储的 Nand Flash 产线上,仅仅刻 蚀机一个品类,供应的设备量接近 40 种不同工艺环节,其中多数工艺环节设备具有独占 性,尤其是刻蚀高深宽比的深孔、深沟等环节工艺。中微公司刻蚀设备种类范围较多, 主要布局介质刻蚀领域,北方华创在刻蚀领域布局集中于硅刻蚀,设备品类对标 Lam, 仍具有较大潜力空间。

  根据招标网的数据统计,华虹无锡 2022 年上半年招标薄膜沉积设备 60 台,其中应用材 料 26 台,Lam 中标 26 台,北方华创中标 3 台,拓荆科技 3 台,ASM America 2 台。北 方华创中标的 3 台具体为 PVD(钛、氮化钛、氮化钽和铝铜)1 台、金属氮化钛溅射掩 膜层设备 2 台;拓荆科技中标的 3 台均为 PECVD 设备,分别是 PECVD(后段以硅烷作 反应物的二氧化硅)2 台以及 PECVD(后段以硅烷作反应物的氮化硅)1 台。 积塔 2022H1 招标刻蚀设备 55 台,其中 TEL 13 台,拓荆科技 11 台,应用材料 7 台, ASM 7 台,北方华创 6 台,中国旭宇腾 6 台,Lam 3 台。拓荆科技中标的 11 台 PECVD 设备分别为 8 台二氧化硅/氮化硅/氟化硅/氮氧化硅 PECVD 及 3 台二氧化硅 PECVD;北方华创中标的 6 台设备包括 5 台铝铜金属溅射、厚铝铜金属溅射设备。

  长江存储截至 2021 年底共招标薄膜沉积设备 779 台,其中 TEL 212 台,应用材料 181 台,Lam 177 台,日本国际计测器株式会社(KOKUSAI)150 台,日立国际电气 20 台, 拓荆科技 18 台,北方华创 15 台, ASM 5 台。

  根据招标网的数据统计,华虹无锡 2022 年上半年招标化学机械抛光设备 15 台,其中应 用材料中标 12 台,华海清科中标 3 台。应用材料中标的 10 台具体为铜金属层化学机械 抛光设备 7 台,浅沟槽绝缘氧化膜化学机械抛光设备 2 台,多晶硅膜化学机械抛光设备 2 台,硅化学机械抛光设备 1 台;华海清科分别中标铜金属层化学机械抛光设备 2 台, 钨金属层化学机械抛光设备 1 台。

  积塔半导体 2022 年 1-5 月招标的化学机械抛光设备总共 6 台,其中华海清科 5 台,应 用材料 1 台。华海清科中标的 4 台为钨金属层化学机械抛光设备,1 台为二氧化硅化学 机械抛光设备。应用材料中标了 1 台铜金属层化学剂型抛光设备。 长江存储截至 2021 年底共招标化学机械抛光设备 112 台,其中华海清科中标 34 台,应 用材料中标 73 台。分具体产品来看,华海清科中标的设备中,氧化硅化学机械抛光机 9 台,层间介质层化学机械抛光机 6 台,晶圆硅面化学机械抛光机 6 台。应用材料中标的 设备包括铜化学机械抛光机 23 台,前段钨化学机械抛光机 16 台等。

  根据招标网的数据统计,华虹无锡 2022 年上半年招标清洗/去胶设备 45 台,其中SCREEN 中标 15 台,盛美半导体中标 10 台,屹唐半导体中标 8 台,Lam 中标 6 台,TEL 中标 5 台,至纯科技中标 1 台。盛美半导体中标的 10 台设备种类较为丰富,包括铜线聚合体剥 离设备、光刻胶剥离设备等,屹唐半导体 8 台设备均为等离子去胶机,至纯科技中标的 1 台设备为后段挡控片清洗设备。

  积塔 2022H1 招标清洗/去胶设备 39 台,其中稷以科技中标等离子去胶机 14 台、创微微 电 9 台(多种去除酸槽和去胶机),芯源微中标 5 台刷片机、TEL 4 台、屹唐半导体 2 台、Lam 2 台、北方华创中标 1 台晶圆回收单片清洗机。 长江存储截至 2021 年底共招标清洗/去胶设备 196 台,其中 SCREEN 58 台,盛美半导 体 35 台,TEL 25 台,Lam 17 台,北方华创 2 台,荆蓝(香港)中标干法去胶设备 19 台。 涂胶显影设备仍被东京电子高度垄断。华虹无锡 2022 年上半年招标涂胶显影设备 9 台, 均采购于 TEL,包括 ArF 涂胶显影机 2 台,KrF 涂胶显影机 2 台,I 线 台, 聚酰亚胺涂胶显影机及涂胶机 2 台。长江存储截至 2021 年底共招标涂胶显影设备 45 台,其中 TEL 4 台,SCREEN 1 台。

  积塔 2022H1 招标涂胶显影设备 12 台,其中 TEL 3 台,芯源微中标 2 台,分别为抗反射层涂胶机和聚合物涂胶显影机,上海向盈中标 4 台 紫外线烘烤机,合肥开悦中标了 2 台涂胶显影机。 离子注入设备同样主要依赖进口。根据招标网的数据统计,华虹无锡 2022 年上半年招 标离子注入设备 18 台,其中 Sumitomo(住友商事)中标 8 台(7 台中电流离子注入设 备),应用材料中标 7 台(均为高电流离子注入设备),亚舍立 2 台(分别为超高电流离 子注入设备和高能量离子注入设备),北京烁科中科信 1 台,烁科中标的为中电流离子注 入设备。积塔 2022H1 招标离子注入设备 12 台,其中亚舍立 10 台,应用材料 2 台。 长江存储截至 2021 年底共招标涂胶显影设备 54 台,其中应用材料 44 台,亚舍立 8 台, 中国汉辰科技 2 台。

  积塔在 2022 年上半年招标过程控制类设备 13 台,其中 KLA 中标 1 台,ASML 中标 1 台, Nova 中标 2 台,Camtek 中标 2 台,应材中标 2 台,上海精测中标 1 台厚度测量设备, 上海微电子中标 4 台显影后检测设备。

  国内龙头存储晶圆厂项目中,过程控制设备国产化率低于 10%。根据公开招投标信息 统计,截止 2021 年底,长江存储项目累计中标过程控制类设备约 376 台,其中国产设 备累计约 16 台。上海精测中标 6 台集成式膜厚设备;中科飞测中标 1 台晶圆表面凹陷 检测系统、5 台光学表面三维形貌量测设备、2 台其他量测设备;睿励科学中标 2 台介质薄膜测量系统。KLA 的设备机台数量占总数量约 26%,中标数量约 97 台,覆盖超过 30 种量测、检测需求。

  根据招投标信息梳理,除了上述国内龙头存储晶圆厂项目之外,在逻辑代工领域,睿励 科学在 2019 年/2020 年各中标 1 台膜厚设备,中科飞测在 2019 年中标 1 台晶圆表面颗 粒检测机、2020 年中标 1 台膜厚测试、1 台缺陷检测。除此之外,公开招投标项目中较 少见到国产机台。过程控制市场仍主要以海外厂商作为主导。

  根据招标网的数据统计,华虹无锡 2022 年上半年招标测试设备 57 台,其中 TEL 中标 20 台(19 台测试探针台),是德科技中标 8 台,DISCO 中标 4 台,广立微中标 3 台,均 为高性能并行电特性测试仪。积塔 2022H1 招标测试设备 16 台, TEL 9 台,上海友能 4 台背面工艺测试测试机。

  2021 年及 2022Q1 设备收入、利润快速增长,国产替代持续深化。设备行业核心公司 (北方华创、芯源微、华峰测控、中微公司、新益昌、长川科技、万业企业、精测电子、 至纯科技,拓荆科技、华海清科及盛美上海由于 2020 年数据不完整未被算入)2022Q1 营业收入总计76.2亿元,同比增长55.3%;扣非归母净利润11.4亿元,同比增长83.0%。 设备行业持续处于高速增长,国产替代空间快速打开,国内核心设备公司成长可期。

  设备厂商在手订单充足,合同负债保持较高增速。2022Q1,设备板块主要公司合同负债 合计分别为 139.5 亿元,同比增长 76.2%,保持高增速。其中,北方华创 2022Q1 合同 负债达到 50.9 亿元。

  半导体设备先进零部件交期延长两倍以上。美国、日本和德国生产的 先进零部件交期延长尤为严重,如高级传感器、精密温度计、控制设备的 MCU 和电力线 通信(PLC)设备。其中 PLC 设备的交期已经被延迟到超过 12 个月。出现这种情况的原 因主要是零部件厂商通常重资产,扩产速度相对半导体设备厂商较慢。 海外龙头厂商在手订单依旧强劲,供应链限制延续。1)供给高度紧张:ASML 22Q1 营 收 yoy-19%,下滑主要系部分订单确认延迟;毛利率同比-5pt,承压主要系材料、供应 链、运输等成本上升;库存周转率降低。泛林毛利率同比-1.7pt,主要系成本压力(原材 料、物流、通胀等)。2)订单依旧强劲:ASML 新增在手订单约 70 亿欧元,环比持平。 KLA:当前在手订单交期总体 5~6 个月,部分产品 7~8 月。爱德万客户订单提前量增加, 由于系半导体等材料和零件短缺,交期延长。3)积极扩产:ASML 预计 2030 年产能至 少翻番,2025 年年产能增加到约 90 套 0.33 孔径 EUV 和 600 套 DUV。泰瑞达预计 2023 研发费用 1900 亿日元,yoy+20.1%;资本开支 750 亿日元,yoy+31.1%,规划金额皆 较往年有大幅提升。

  2022 下半年展望乐观,全年需求强劲将有订单递延至明年。泛林 2022Q2 毛利率指引 中枢仍略降,持续成本和供应压力影响持续,二季度订单积压不断增加。随产能落地、 产品竞争力效益显现及部分订单延迟多数企业对 H2 展望乐观。ASML 预计 2022H2 表现 强劲,毛利率约 54%,高于全年 52%指引,主要由 EUV 和 DPV 出货及安装基础管理业 务利润率提升驱动。Q4 部分 EUV 系统收入将递延到 2023 年。泛林预计 2022 WFE 需求 将超 1000 亿美元,未满足的设备需求将递延至明年。泰瑞达积极建立库存及扩产,预计 H2 出货有更大增量及灵活性,预计 Q2 实现增长,仅高端产品出货受限。 2021 年全球半导体零部件市场规模超过 200 亿美金。海外半导体研究机构 VLSI 将全 球半导体零部件主要分为两大类,一大类是半导体关键子系统(Critical Subsystems), 主要包括真空系统(Vacuum)、电源系统(Power)、流量控制(Fluid)、光学系统(Optical Subsystems)、晶圆传递(Wafer Robotics)、热量管理(Thermal)等,另一大类是关键零部件(Critical Components),包括静电卡盘、陶瓷件等,根据 VLSI,2020 年全球半 导体零部件关键子系统市场规模超过 122 亿美金,预计 2021 年同比再增长 7%达到约 130 亿美金。VLSI 测算关键子系统市场规模在 120 亿美金左右的同时,认为关键零部件 市场规模在 70 亿美金,若同样按照 7%增速测算,2021 年关键零部件市场规模预计为 75 亿美金。 由于 VSLI 数据为 2020 年底预测数据,实际上根据 SEMI,全球半导体设备市场规模 2021 年同比增长 44%,若按照与设备市场同样的增速测算,2021 年半导体关键子系统的需 求在 122*1.44=175.7 亿美金,关键零部件市场需求在 70*1.44=100.8 亿美金,合计市 场规模或超过 275 亿美金。

  富创精密在招股书中采用了成本占比法测算精密零部件市场空间,公司根据不同类型设 备 2020 年公布的市场规模,以及国内代表性公司披露的原材料成本,和精密零部件在 成本中的占比,并考虑设备厂商毛利率水平,累加得到公司主要产品的全球市场规模约 160 亿美金。

  刻蚀、沉积需求驱动电源系统高增速。VLSI 测算电源系统占半导体关键子系统的从 2016 年的 9.8%提升至 2021 年的 13%,从量价角度来看,平均每个反应腔需要的射频电源 系统数量持续增加,同时下游对以高频为代表的高端电源子系统需求增加带来平均价质 量的增加。多重曝光及 3D NAND 层数不断增加,带来了对刻蚀、沉积步骤的需求提升, 以 3D NAND 为例,时间更长、更复杂的刻蚀步骤对电源系统解决方案的需求也在不断 提升。从下游应用来看,电源系统中 71%的需求来源于刻蚀设备。

  2020 年中国晶圆厂前道设备零部件采购额超过 10 亿美金。根据芯谋研究,2020 年中 国晶圆厂 8 英寸和 12 英寸前道设备零部件采购金额超过 10 亿美金。其中不含海外 厂商在国内的产线,中国内资晶圆厂采购金额约 4.3 亿美金。中国晶圆厂采购的设备零 部件主要包括石英(Quartz)、射频发生器(RF Generator)、各种泵(Pump)等,分别 占零部件采购金额的比重≥10%。此外各种阀门(Valve)、吸盘(Chuck)、反应腔喷淋 头(Shower Head)、边缘环(Edge Ring)等零部件的采购占比也较高。 如果以 2020 年全球 192 亿美金的市场规模为基础,中国的 10 亿美金采购额占全球的不到 5%,我们认为主要是因为国内设备厂商正处于持续研发突破,产品初步起量阶段, 也因此随着国产设备厂商的放量,未来国内零部件需求预计会快速增长。

  全球前十大关键子系统供应商市占率自 2010 年起始终维持在约 50%。2000-2010 年 伴随收购并购,行业持续整合,全球关键子系统前十大厂商的合计份额逐步提升,2010 年以来前十大家的份额始终维持在 50%左右的水平。2020 年,蔡司仍占据第一位置, 受益于对射频电源子系统的强劲需求,MKS 超过 Edwards 跃居第二。

  2021 年半导体市场规模超预期增长,且未来随着晶圆厂逐步投产,行业产值有望在 2030 年超过万亿美元市场。从需求端来看,以汽车、工业、物联网、5G 通讯等代表的 需求驱动驱动全球半导体产业进入“第四次半导体硅含量提升周期”。根据 SEMI,2021 年全球半导体产值有望超过 5500 亿美元,达到历史新高,且在 2022 年根据 SEMI 对于 行业资讯机构的统计,平均对于 2022 年的增长预期将达到 9.5%,即 2022 年市场规模 有望突破 6000 亿美元(此为平均值)。此外随着全球 8 寸及 12 寸晶圆新产能逐步的在 2022 年至 2024 年的投放,至 2024 年全球将会有 25 家 8 寸晶圆厂投产,60 座 12 寸晶 圆厂投放。随着该 85 座晶圆厂的投放,至 2030 年全球半导体晶圆市场将有望达到万亿 美元市场,实现年复合增长率约 7%。

  2021 年全球半导体材料市场规模创新高,中国需求占比 18.6%。根据 SEMI,强劲的下游需求及晶圆产能的扩张驱动 2021 年全球半导体材料市场规模同比增长 15.9% 达到 643 亿美金新高。其中晶圆制造材料和封装材料市场规模分别为 404 亿美金和 239 亿美金,同比增长 15.5%和 16.5%。晶圆制造环节中的硅片、化学品、CMP 和光掩膜环 节是增速最快的几大领域,而硅片也是晶圆制造中成本占比最高的环节,市场规模超过 130 亿美金。由于半导体芯片存在较大的价格波动,但是作为上游原材料的价格相对较 为稳定,因此半导体材料可以被誉为半导体行业中剔除价格影响最好的参考指标之一。

  在半导体原材料领域,集成电路技术发展到微纳电子制造的物理极限,单独依靠特征尺 寸缩小已不足以实现技术发展目标。新材料的引入以及相应的新材料技术与微纳制造技 术相结合共同推动着集成电路不断发展。集成电路制造工艺用到元素已经从 12 种增加 到 61 种。伴随微纳制造工艺不断发展,对材料的纯度,纳米精度尺寸控制、材料的功能 性等都提出了严苛的需求。

  半导体制造过程繁琐且复杂,对于的材料大类的设计也超过了 9 种。其中硅片的占比最 大,达到了 122 亿美元,37.3%;其次为电子特气,市场规模约为 43 亿美元,13.2%; 光掩模,光刻胶及其辅助材料分别为 41 亿美元和 40亿美元,占比达到12.5%和 12.2%。

  在全球半导体材料的需求格局之中,中国从 2011 年的 10%的需求占比,至 2021 年 已经达到占据全球需求总量的 18.6%,仅次于中国(22.9%),位列全球第二。随着 整个半导体产业的持续增长,以及中国不断新建的代工产能,我们有望看到中国大 陆半导体市场规模增速将会持续超越全球增速的同时,攀登至全球需求第一的宝座。

  半导体材料国产化率仍待转化。在国家产业政策大力扶持和国内半导体市场稳定增长等 利好条件下,特别是国家“02 专项”等专业化科研项目的培育下,国内半导体材料领域 将涌现更多具有国际竞争力的公司和产品,在更多关键半导体材料领域实现进口替代, 打破国外厂商的垄断。半导体芯片制造工艺半导体将原始半导体材料转变成半导体芯片, 每个工艺制程都需要电子化学品,半导体芯片造过就是物理和化学的反应过程,半导体 材料的应用决定了摩尔定律的持续推进,决定芯片是否将持续缩小线宽。目前我国不同 半导体制造材料的技术水平不等,但整体与国外差距较大,存在巨大的国产替代空间。 我们选取代表性公司彤程新材、鼎龙股份、凯美特气、兴森科技、安集科技、沪硅产业、 雅克科技、立昂微、金宏气体和晶瑞股份,2021 年电子材料营收综合约为 98 亿元人民 币,考虑到其他未收录的非上市公司及上市公司,我们展开乐观假设:中国有着电子半 导体材料营收规模 150 亿人民币(更多的为中低端产品,高端产品仍然在持续突破及替 代),在当前 643 亿美元的全球市场之中也仅仅 4%不到的替代率;在中国所需的产值 约 119 亿美元的市场需求中,也仅占 19%,因此可以看到中国无论是在中国市场或者 全球市场之中,均有着巨大的国产化空间。

  随着半导体市场晶圆代工的持续扩产,对于晶圆制造中不可缺失的基础材料将会有着非 常大的需求拉动,而在此阶段我们可以看到随着技术及工艺的推进以及中国电子产业链 逐步的完善,在材料领域已经开始涌现出各类已经进入批量生产及供应的厂商。

  除了以上我们节选的部分半导体及电子材料厂商对于中国卡脖子关键材料的替代以外, 还有众多 A 股上市公司在努力的投入研发力量致力于更多材料的国产化。无论是成本占 比最大的半导体硅片,再到被美国高度垄断的 CMP(抛光液及抛光垫)材料,均都实现 了一定的技术突破,在不同的实现果实的收获。

  从光刻胶全球市场来看,根据 Cision,2019 年约有 91 亿美元的市场规模,至 2022 年预 计将达到 105 亿美元,实现复合增长 5%。而其中半导体、LCD、PCB 这三类主要的应用 场景分别占据了市场空间的 24.1%、26.6%、及 24.5%,分别对应 2019 年的市场规模 22 亿美元、24 亿美元、及 22 亿美元。

  Cision 同时也统计了中国光刻胶市场的规模,在 2019 年约为 88 亿元人民币,至 2022 年预计将达到 117 亿元人民币,实现复合增长 15%。如若我们根据全球光刻胶的应用场 景分布来看,在中国所需要的半导体、LCD、及 PCB 的市场需求分别将达到 21、23、 22 亿元人民币。 248nm 及以上高端光刻胶为全球市场的主流。中国产业信息网的数据显示,2019 年中 国光刻胶市场规模在 158 亿人民币,而其中半导体用光刻胶市场达到 20.7 亿人民币;至 2020 年的预期,国内光刻胶市场有望达到 176 亿人民币,而半导体用光刻胶则将达到 25 亿人民币,均将实现超过 10%的行业规模增长。而随着国内晶圆厂不断扩产,以及制 程和工艺的提高,有望在后续给光刻胶行业带来更大的增量空间。

  然而我们复盘过往中国半导体光刻胶市场规模来看,通过智研产业研究院的统计,在 2015 年中国半导体光刻胶市场规模仅为 10 亿元左右,至 2020 年已经成功提高至约 25 亿人民币的市场规模。而其中的核心原因我们认为是中国半导体晶圆代工产业逐步完善, 晶圆厂产能持续增长带来的市场增长。而随着未来中国内地将要兴建更多的产能之时, 我们有望看到中国半导体光刻胶需求的持续高增长。

  整体来看,全球光刻胶行业主要被 JSR、东京应化、罗门哈斯、信越化学、及富士合理 占据,前五大家占据了全球光刻胶领域的 86%;如若聚焦到全球半导体用光刻胶领域, 前六大家(主要以日本为主)实现了对于市场的 87%的占据。

  复盘半导体光刻胶发展历程,我们总结出以下结论: 1)光刻胶与工艺节点发展息息相关。光刻胶合成工艺的演进是基于制程的不断推进,在 研发过程中需要与光刻机厂商密切合作。日本光刻胶从开始的模仿到在 KrF 胶实现超越, 背后是半导体产业链向日本转移、日本政府对半导体产业链的大力扶持和日本光刻机厂 商的崛起。当前背景下,先进节点技术开发速度有所放缓,国内半导体产业发展,国产 化需求为中国企业带来发展机遇。

  2)日本光刻胶巨头均起源于化工企业,本质上是早期光刻胶的底层技术和原材料与精 细化工的产物相同。TOK 最早切入,主要系本土客户培养本土供应链的需求;JSR 的切 入背景是 1970 年代石油危机下化工企业利润空间受到挤压,从而寻求第二增长曲线; 信越从硅化工业务切入是因为 1990s 日本国内经济衰退,公司基于原有主营业务寻求协 同发展。彤程新材是全球最大的轮胎用特种材料供应商,生产和销售的轮胎用高性能酚 醛树脂产品在行业内处于全球领导者地位。电子级酚醛树脂对产品纯度要求非常高,在 KrF 光刻胶中,树脂占总成本的超过 70%,且在生产树脂中需要保证不同批次的高分子 树脂的分子量分布和性能都相差无几,故而成膜树脂的合成难度最高。目前彤程新材已 成功自主开发电子级酚醛树脂,在光刻胶、环氧塑封料、覆铜板等领域均有布局,并通 过部分客户的认证,开始批量供应。

  3)光刻胶公司与下游客户绑定紧密,同时布局光刻胶配套材料,客户粘性强。光刻胶存 在一定的先发优势,但技术壁垒并不至于无法突破,找到体系内符合要求的基团也可实 现突破。但光刻胶对半导体生产过程的稳定性至关重要,验证周期长,下游客户不会轻 易更换。提升份额的途径在于打入客户新增产能供应链,以及配套材料的研发销售,如 TOK 生产显影剂和边缘去除剂,JSR 生产底部抗反射涂料,信越生产石英掩模毛胚和硅 抗反射涂层。彤程新材 I 线光刻胶和 KrF 光刻胶以批量供应于中芯国际、华虹宏力、长 江存储、华力微电子、武汉新芯、华润上华等 13 家 12 寸客户和 17 家 8 寸客户,同时 公司 2 万吨光刻胶相关配套试剂项目,已进入洁净间及机电设备的安装高峰,预计 2022 年 6-7 月份能完成全部建设,将在下半年开始进入试生产。

  彤程新材:特种橡胶助剂企稳向好,国产光刻胶核心龙头。公司特种橡胶助剂 3-5 月受 疫情影响原材料及运费等带来毛利率承压,需求端下游轮胎企业开工率有限。6 月以来 下游轮胎需求回补,运费等影响逐步减弱,助剂业务企稳向好。 光刻胶新品持续突破,自产上游原材料增厚盈利水平。公司半导体 KrF 光刻胶量产料号 数量持续增加,半导体光刻胶当前已实现盈利。提高持有北旭股比至 81%并表,北旭作 为本土最大的液晶正性光刻胶本土供应商,2021 年面板光刻胶实现营收 2.6 亿元,同比 增长 22.7%,光刻胶销量同比增长 21%,国内市占率约 19%。高性能面板光刻胶新产 品在京东方验证效果积极,2022 年有望实现量产销售,在下游大客户占比进一步提升, 同时盈利水平有望持续提升。

  参股苏州聚萃,苏州聚萃在新型显示产业所需的聚酰亚胺等高性能电子化学品及有机膜 材。PI 材料与公司现有的面板光刻胶业务在客户端具有高度协同性,未来公司将持续引 进,开发显示面板用电子化学品技术,拓展产品品类,进一步打造新材料平台战略。 彤程新材当前电子材料、可降解材料、及汽车/轮胎特种材料三大业务线,横向在专业范 围内进行多品类拓展,纵向整合产业链上下游,已初步实现新材料平台战略。由贸易至 上游制造,多元拓展丰富业务,打造平台型企业。

  根据 TECHCET,全球 CMP 材料市场规模在 2021 年达到超过 30 亿美金,其中抛光垫市 场规模约 11.3 亿美金,抛光液市场规模 14.3 亿美金,预计 CMP 材料市场 2022 年同比 增长 9%至 33 亿美金。

  鼎龙股份——国内 CMP 抛光垫龙头,多产品维度突破。鼎龙股份成立于 2000 年,二十 余年来始终重视技术整合和技术平台,已打造七大技术平台。2013 年全面完整开启彩色 聚合碳粉全球布局。2013 年起延伸布局拓展至集成电路行业,着重研发 CMP 抛光垫。 当前公司在 CMP 抛光垫领域已经实现全制程、全节点覆盖,在 2021 年已成为部分客户 一供,国内领先优势显著,未来随着客户产能持续释放,及公司份额提升,抛光垫业务 有望迎来持续放量增长。CMP 抛光液方面,公司氧化层抛光液产品在 2022 年取得小量 订单,Al 制程抛光液进入客户吨级采购阶段,客户端取得突破性进展;氧化层抛光液获 得国内主流晶圆厂客户 20 吨订单。此外公司实现自主制备抛光液核心原材料研磨粒子, 一方面打破海外垄断,同时提升了盈利能力。清洗液方面公司已取得 Cu 制程 CMP 清洗 液小量订单。

  柔显及其他材料蓄势待发,打开新增长极。YPI 方面,公司 2021 年完成客户验证并实现 近千万营收,2022 年跟随下游 AMOLED 厂稼动率提升,公司 YPI 或进一步放量。公司 预计 2025 年 PSPI 国内市场规模有望达到 35 亿元,TFE-INK 接近 10 亿元,当前行业被 海外垄断背景下,鼎龙 PSPI、TFE-INK 产品中试结束且客户验证良好。我们认为公司在 第一成长线 CMP Pad 逐步在国内外同步发力期间,其他电子材料产品有望逐步推动公司 天花板及未来空间的发展,打开第二/三增长曲线 月,坚持自主创新,长期致力于为集成电路行业提供汇集创 新驱动、高性能及成本优势的产品和技术解决方案。当前公司成功打破了国外厂商对集 成电路领域化学机械抛光液的垄断,实现了进口替代,使我国具备在该领域的自助供应 能力。公司主营业务为关键半导体材料的研发和产业化,目前产品包括不同系列的化学 机械抛光液和光刻胶去除剂,主要应用于集成电路制造和先进封装领域。

  研磨液多产品得以突破,紧跟行业多面拓展。当前公司拳头产品铜(含阻挡层)已经在多方 客户实现突破,14nm 稳定量产的同时,10-7nm 逐步突破,并且突破逻辑、存储两大领域。 此外公司钨研磨液已在长存得到应用,也在积极配合客户实现二氧化铈的验证。在光刻胶去 除剂方面,公司光刻胶去除剂已量产并且持续扩大应用;28nm 技术节点后段硬掩模工艺光 刻胶去除剂的验证工作正在按计划进行,以加快实现国产化供应;14nm 技术节点后段蚀刻 残留物去除剂的研究仍在按计划进行。 国内需求巨大,客户+品类同步拓展,渗透率提升带动营收利润天花板激增。随着内资晶圆 厂扩产加速,制程提高,对于抛光液的用量得到了明显的提升。安集科技从铜向钨,钨向氧 化物方向的品类推展将近一步实现国产替代,完成对自身潜力的进一步提高。

  行业新增产能有限,下游硅片库存连续下降。从当前全球半导体硅片实际供应量来看, SUMCO 估计 2021Q4 全球 8 英寸硅片月出货量约 600 万片,12 英寸硅片月出货量接近 800 万片。在下游需求非常旺盛的情况下,硅片出货量在 2021 年三四季度呈现持平的情 况,也反映了全球硅片产量当前几乎达到了顶点,少有新增产能贡献。而从客户 12 英寸 硅片库存来看,客户每月的硅片投入量均大于购买量,2021 年硅片库存已经呈现连续 15 个月下降。SUMCO 估计 2022Q1 客户库存天数已经下降到仅 1 个月。

  2021 年全球硅片出货面积及营收双创新高。根据 SEMI 统计,全球整体来看,2021 年 半导体硅片营收规模达到 126 亿美元,出货面积达到 14,165 百万平方英尺。硅片已成 为数字化转型及新技术发展的重要承载体,受下游汽车、工业、物联网等旺盛需求驱动, SEMI 预计 2024 年全球出货面积增长到 16,037 百万平方英尺。根据 SEMI 出货面积及 营收规模测算出的单位面积价格来看,2021 年单位平方英尺价格为 0.89 美金,较 2020 年 0.90 美金略有下降。

  复盘半导体硅片价格,2009-2011 年在后金融危机影响下,全球主要硅片制造商取消扩 产计划导致供给端收缩,因此硅片价格呈小幅上升趋势。但 2012 年开始,硅片价格开始 不断下滑,硅片价格由 2012 年的 0.96 美元/平方英寸下降至 2016 年的 0.67 美元/平方 英寸,主要由于制造商扩产计划顺利实施使得硅片市场产能过剩。在经历了六年的持续 下滑后,硅片价格在 2017 年重回上升通道,2017-2019 年硅片价格由 0.74 美元/平方英 寸上涨至 0.95 美元/平方英寸,主要由于新能源汽车等新兴市场快速发展、5G 手机的快 速渗透带来半导体终端市场需求强劲,市场供需结构发生变化。 我们认为,当前或再现 2016-2018 年上一轮全球半导体硅片需求和供给的“剪刀差”。 上一轮硅片供需失衡在 2016-2018 年,根据 SEMI 数据,彼时硅片单位面积价格上涨了 33.5%,半导体硅片厂商营收及盈利水平实现了快速提升。我们认为此轮行业供需失衡 有望再现上一轮硅片供需“剪刀差”带来的硅片涨价,从硅片龙头厂商近期营收及法说 会口径可以看出,信越化学、SUMCO、GlobalWafers 等营收及业绩预期强劲,当前新增 产能有限背景下,涨价是重要营收驱动因素。同时海外龙头新增产能均预计在 2023 年 下半年才能陆续开始爬坡,行业长协订单比重增加,我们判断当前硅片行业供需失衡将 至少持续至 2023 年底。

  电子特种气体是集成电路、显示面板、光伏能源、光纤光缆等电子产业加工制造过程中 不可或缺的关键材料,其市场规模保持高速发展。2010-2018 年,我国电子特气市场规 模复合增速达 15.3%,2018 年我国电子特气市场规模达 121.56 亿元。其中,半导体制 造用电子特气市场规模约 45 亿元。根据前瞻产业研究院预测,2024 年我国电子特种气 体市场规模将达到 230 亿元,2018-2024 年复合增速将达 11.2%。电子特气将为中国新兴产业的发展注入新动力。

  两个主要因素推进了我国电子特气的需求高速增长。首先,近年来电子气体下游产业技 术快速更迭。例如,集成电路领域晶圆尺寸从 6 寸、8 寸发展到 12 寸甚至 18 寸,制程 技术从 28nm 到 7nm;显示面板从 LCD 到刚性 OLED 再到柔性、可折叠 OLED 迭代;光 伏能源从晶体硅电池片向薄膜电池片发展等。下游产业的快速迭代让这些产业的关键性 材料电子特气的精细化程度持续提升。并且,由于全球半导体、显示面板等电子产业链 不断向亚洲、中国地区转移,近年来以集成电路、显示面板为主的电子特气需求快 速增长。我国集成电路 2010-2018 年销售额复合增速达 20.8%,对电子特气的需求带来 了持续、强劲的拉动。

  根据 Techcet,预计 2022 年全球电子气体市场规模有望达到 70 亿美金,其中特气约 50 亿美金,电子大宗气体 20 亿美金。

  海外龙头在占八成以上份额,国产替代空间巨大。根据 SEMI 及前瞻产业研究院, 2010-2018 年我国电子特气市场规模复合增速达 15.3%,2018 年我国电子特气市场规 模达 121.56 亿元。其中,半导体制造用电子特气市场规模约 45 亿元。海外大型气体公 司占据了中国电子特气 80%以上的市场份额,美国空气化工、德国林普、日本昭和 电工、大阳日酸、法国液化空气为份额最大的 5 家海外公司,其中 CR3 为 75%左右, CR5 为 85%左右,国产替代空间巨大。

  凯美特气深耕气体行业三十余年,盈利水平居行业前列。凯美特气成立于 1991 年,以 石油化工尾气(废气)、火炬气为原料,生产和销售工业气体、医用气体、标准气体、特 种气体、混合气体、食品添加剂气体、电子化学品等气体产品。2018 年公司成立岳阳凯 美特电子特种稀有气体公司,布局电子气体,生产高纯度二氧化碳、氦气、氖气、氩气、 氟氩氖、氟氪氖等半导体、面板、航天、医疗等领域急需的超高纯气体和多元混配气。

  产品生产线布局循序渐进,充分利用公司自有优势提升效益。岳阳电子特气项目于 2020 年 7 月正式投产,目前已生产出的合格产品有:99.99996%二氧化碳、99.9999%氦气、 99.999%氖气、99.9999%氩气、99.999%氪气、99.9995%氙气、99.997%一氧化碳、 99.9999%氮气、99.9999%氢气、氯化氢基准分子激光配气、氟基准分子激光混配气、 动态激光混配气,已获得生产许可的产能有氪[压缩的或液化的]11750 Nm³/年、氙[压 缩的或液化的]900Nm³/年、氖[压缩的或液化的]68000Nm³/年等。同时,随着宜章 电子特气项目建设公司将增加高纯氯化氢、氟化氢、溴化氢、氘代系列气体等,进一步 完善公司产品体系。

  持续获得龙头客户认证,混配气有望开始放量。公司各类电子特气已通过或正进行在下 游全球各大龙头厂商处的认证,其中公司二氧化碳、氪、氙产品已于 2021 年 2 月通过 法国液化空气认证,公司用于 ExciStar 激光器的 193nm 激光混配气已于 2021 年 9 月通 过美国相干的设备测试,同时公司混配气产品在阿斯麦、大阳日酸等厂商的认证仍在有 序推进。参考公司氪、氙产品的认证与放量经历,公司混配气有望开始放量。

  稀有气体产品放量势头强劲,成为公司盈利增长提供重要驱动力。2021 年公司实现高纯 (≧99.999%)氪气氙气氖气销售共 1938 万元,2022 年 3 月 22 日公司签订价值 4500 万元氖气销售合同,2022 年 7 月签订 4800 万元氙气销售合同,电子特气业务有望成为 公司利润增长的又一重要驱动力。

  IC 载板市场规模持续稳定增长。其中占比最大的 IC 载板市场水涨船高,根据 Mordor Intelligence 的数据统计及预测,先进 IC 载板市场将会从 2020 年的 77.3 亿美元的市场 规模保持 CAGR 5.3%的增速至 2026 年达到 104.9 亿美元,增速超过半导体封装材料市 场增速,也证明了未来 IC 载板的价值量的逐步攀升以及占比逐步扩大的潜力。

  兴森科技:乘胜追击,产能扩张正当时。目前公司主要在建项目包括珠海兴科项目、宜 兴硅谷印刷板二期工程项目和广州 FCBGA 封装基板生产和研发基地项目。珠海兴科项目 中,第一条 IC 封装基板的产线 万平方米/月)进展顺利,预计年底实现单月 90% 以上的产能利用率。宜兴生产基地二期工程完全达产后,将提供 96 万平方米/年的产能, 产品将应用于 5G 通信、Mini LED、服务器和光模块等领域。广州生产基地于 2021 年 新增了月产 1.5 万平方米的中、高端、多层样板的产线 万平方米/月 产能已达产。公司在 2022 年宣布了位语广州和珠海两个 FCBGA 项目投资,广州项目预 计于 2023 年底前后建成,目前正在进行前期建设准备工作和设备采购。

  兴森科技为国内为数不多的 IC 载板厂商,大力扩产载板产能用以满足行业需求,进行 国产替代及对新增市场的占领。根据公司公告,广州兴科 BT 载板、广州和珠海 FCBGA 封装基板项目的整体投资规模为 102 亿,有望看到公司未来实现 IC 载板产品线的全覆 盖,随着公司新增载板产能逐步爬坡投产,以及行业当前供需紧张的态势,有望充分受 益国内半导体的巨大封装需求,加速提升载板业务的收入规模和贡献业绩。

  SiC 作为第三代化合物半导体,相比 Si 具有带宽度、高临界击穿场强、高热导率三 个最显著特征。4H-SiC 的禁带宽度是 Si 的 3 倍,因此 SiC 材料能够在更高温(如汽车电 子)下稳定工作。SiC 的临界击穿场强可以达到 Si 的 10 倍,与 Si 器件相比,SiC 可以在 更高杂质浓度、更薄漂移层厚度的情况下制作出高耐压功率器件。从而同时实现“高耐 压”、“低导通电阻”、“高频”三个特性。SiC 的导热率可达 Si 的 3 倍,因此能够提高热 传导能力。随着电子元器件集成度提升,功率和密度增大,单位体积发热量增加,高导 热率的材料有利于元器件向更小型化发展。

  SiC 在高电压、高功率领域应用具有优势。由于 SiC 材料具有耐高温、耐高压、低导通 电阻(低开关损耗)、高频等优良特性,因此应用于汽车电子、光伏、轨道交通、工业控 制等领域将带来比 Si 材料更显著的优势。

  SiC MOSFET 相比 IGBT,还能在高频条件下驱动,从而实现无源器件的小型化。与 600V~900V 的 Si MOSFET 相比,SiC MOSFET 芯片面积更小(可实现小型封装),且二 极管的恢复损耗非常小,适用于工业机器电源、高效率功率调节器的逆变器或转换器中。 目前 SiC 基电力电子器件已经广泛应用于光伏、功率因子校正电源、汽车、风电及牵引 机车行业。

  尽管 SiC 功率器件在性能上有诸多优势,但此前 SiC 的发展主要受到价格、晶圆质量、 工艺技术等限制,没有被大规模使用。近两年,起步较早的 Wolfspeed、Rohm、英飞凌 等海外厂商不断进行产品迭代,产品性能、质量持续提升;晶圆良率提升,尺寸升级, 产能扩充,衬底价格快速下探,我们认为 SiC 器件广泛应用的甜蜜点已经到来。

  衬底质量不断提升。SiC 外延材料和 SiC 基功率器件性能及可靠性受到衬底结晶缺陷、表面加工质量的制约,晶圆生长过程中易出现材料的基面位错,以致 SiC 器件可靠性下降。 2020 年以来,国外 6 英寸 SiC 衬底产品已实现商用化,主流大厂陆续推出 8 英寸衬底样 品并开始投建 8 英寸 SiC 晶圆产线 英寸产品 实现商用化。国内 SiC 商业化衬底仍然以 4 英寸为主,并逐步向 6 英寸过渡,微管密度 小于 1 个/cm2,衬底可用面积实现 95%。研发方面,实现了高质量 6 英寸衬底材料的制 备,微管密度为 0.5 个/cm2,螺位错密度为 1200 个/cm2。

  SiC 功率晶体设计不断迭代,产品性能持续提升。随着 SiC 功率晶体设计的不断发展, 各厂商近年来持续进行产品升级迭代,可靠性和性能大幅提升。目前已量产的增强型碳 化硅功率晶体的晶粒结构主要有平面式(planar)和沟槽式(trench)两种。平面式结构 设计较为简单,沟槽式在制造过程中多一步栅槽刻蚀工艺。

  SiC 成本下降依赖于尺寸增加、可用厚度增加和缺陷密度下降。伴随大直径衬底占比不 断提高,衬底单位面积生长成本下降。单晶可用厚度在不断增加。以直径 100mm 单晶 为例,2015 年前大部分单晶厂商制备单晶平均可用厚度在 15mm 左右,2017 年底已经达到 20mm 左右。伴随衬底结晶缺陷密度下降的同时,工艺复杂程度增加。在大部分衬 底提供商完成低缺陷密度单晶生长工艺及厚单晶生长工艺研发后,衬底单位面积价格会 迎来相对快速的降低。

  SiC 电力电子器件价格进一步下降,与同类型 Si 器件价差缩小。根据 CASA,Mouser, 从公开报价来看,2020 年底 650V SiC SBD 均价为 1.58 元/A,同比下降 13.2%,与 Si 器件的价差约 3.8 倍;1200V SiC SBD 均价为 3.83 元/A,同比下降 8.6%,与 Si 器件的 差距约 4.5 倍。根据 CASA 调研,实际成交价低于公开报价,650V SiC SBD 实际成交价 格约 0.7 元/A,1200V SiC SBD 价格约 1.2 元/A,约为公开报价的 60%-70%,同比则下 降了 20%-30%,实际成交价与 Si 器件价差已经缩小至 2-2.5 倍之间,已经达到了甜蜜 点。若考虑系统成本(周边的散热、基板等)和能耗等因素,SiC 产品已经具备一定竞争 力,随着产业链技术更加成熟和产能不断扩充,未来在下游新能源汽车、光伏逆变、消 费类电子等市场应用有望加速渗透。

  按照 SiC 功率器件应用发展来看,初期 SiC 器件主要用于 PFC 电源领域,过去十年 SiC 在光伏及一些能源储存系统中被广泛,未来十年,新能源汽车、充电设施、轨道交通将 是 SiC 器件需求规模大幅增长的主要推动力。根据 Yole,2019 年 SiC 全球市场规模超过 5.4 亿美元,到 2025 年将达到 25.6 亿美元,CAGR 30%,其中新能源汽车占比最高, 2025 年市场规模将达到 15.5 亿美元,CAGR 38%,充电桩增速高达 90%。 新能源汽车系统架构中涉及到 SiC 应用的系统主要有电机驱动器、车载充电器(OBC) /非车载充电桩和电源转换系统(车载 DC/DC)。SiC IGBT 具有导通压降小、耐压高、 开关速度快的优势,目前大量应用于新能源汽车的 OBC、DC/DC 和电机中。

  特斯拉引领行业潮流,率先在逆变器上使用 SiC。特斯拉 Model 3 的电驱动主逆变器采 用意法半导体的全 SiC 功率模块,包含 650V SiC MOSFET,其衬底由科锐提供。目前特 斯拉仅在逆变器中引用了SiC材料,未来在车载充电器(OBC)、充电桩等都可以用到SiC。

  Wolfspeed:全球最大 8 寸 SiC 晶圆厂顺利投产 2022 年 4 月 25 日,Wolfspeed 宣布其位于美国纽约州莫霍克谷全球最大 8 寸 SiC 晶圆 厂正式开业,相关负责人公开表示:目前随着全球交通运输的电动化,SiC 技术处于电车与传统车过渡的最前沿,并能够很好地帮助电车解决续航较短、充电较慢等多个问题。 在莫霍克谷开发的器件对于满足 Wolfspeed 200+ 亿美元销售管道(pipeline)和全球半 导体产业的需求至关重要。首批 SiC 已于 4 月早些时候在这座新工厂开始制造。

  早在 2015 年,罗姆就已经展示了其 8 英寸的 SiC 衬底。目前在日本福冈县罗姆新厂房 启用仪式中,其社长表示将在 2025 年成为全球的 SiC 市占龙头,产能将增加 6 倍,且 目前正在考虑进一步的投资。在近期的 PowerUP Expo 会议中,罗姆美国总裁 Jay Barrus 表示,将在 2023 年开始进行 8 英寸的 SiC 衬底量产。

  三安光电收购北电新材,延伸布局 SiC 衬底。2020 年 8 月,全资子公司湖南三安拟以 现金 3.815 亿元收购福建北电新材料 100%股权。北电新材成立于 2017 年,由国家级基 金安芯基金投资,2017 年 1 月全资收购瑞典 Norstel 公司(提供 6 寸 SiC 衬底及外延)。 意法半导体 2019 年 12 月收购瑞典 Norstel 100%股权,总收购金额 1.375 亿美元。 长沙加码 160 亿投资 SiC 等第三代化合物半导体,抢先卡位布局。2020 年 6 月,公司 公告在长沙高新技术园区成立子公司,投资160亿元于SiC等化合物第三代半导体项目, 包括长晶—衬底制作—外延生长—芯片制备—封装产业链。长沙投资的具体项目的产品 包括 6 寸 SiC 导电衬底、4 寸半绝缘衬底、SiC 二极管外延、SiC MOSFET 外延、SIC 二 极管外延芯片、SiC MOSFET 芯片、SiC 器件封装二极管、SiC 器件封装 MOSFET。该项 目 2020 年 7 月开工,预计 2 年内完成一期项目并投产,4 年内完成二期项目并投产,6 年内达产。

  三安光电 SiC SBD/MPS 量产器件已较为成熟。在 SiC 电力电子领域,三安集成在 2018 年推出 SiC 肖特基二极管后,目前产品系列已覆盖 650V/1200V 的高可靠性、高功率密 度的 SiC 功率二极管。公司的 SiC 二极管直接应用于 DC/AC 转换,PFC(功率因数校正) 和 SMPS(开关模式电源),主要应用在消费电子产品的电源转换/反向器、工业用大功率 电源转换/反向器中。 打造 SiC MOSFET 器件量产平台,完成 SiC 器件产品线 月三安集成 首次推出 1200V 80mΩ SiC MOSFET,目前已完成研发并通过一系列产品性能和可靠性 测试,可广泛用于光伏逆变器、开关电源、脉冲电源、高压 DC/DC、新能源汽车充电和 电机驱动等领域,能够减小系统体积、降低系统功率,提升电源系统功率密度,目前多 家客户处于样品测试阶段。

  2022 年 7 月 22 日,天岳先进发布公告:约定 2023 年至 2025 年向合同对方销售 6 英寸 导电型碳化硅衬底产品,合同作价 13.93 亿元。根据公司公告显示,从整体来看,本次 合同为公司 6 英寸导电型碳化硅衬底的销售提供了有力保障,符合未来发展战略规划。 根据 Wind,天岳先进 2021 年实现营收 4.94 亿,同比增加 16%;归母净利润实现 0.90亿,同比增加 114%。同时公司计划在上海临港建设 6 英寸导电性碳化硅衬底工厂,预 计投入为 25 亿并于 2026 年实现满产,届时将新增碳化硅衬底材料产能约 30 万片/年。 2021 年公司销售衬底 5.7 万片,目前在山东济南、济宁建设有 SiC 衬底生产基地。

  EDA(Electronic Design Automation,电子设计自动化),EDA 工具作为集成电路 设计和制造流程的支撑,是集成电路产业发展的必要工业软件。其根据应用阶段的不同, EDA 工具分为制造类 EDA 工具和设计类 EDA 工具两大类。集成电路企业需要借助 EDA 工具来完成集成电路的设计和制造流程,一个完整的集成电路设计和制造流程主要包括 工艺平台开发、集成电路设计和晶圆生产三个阶段。

  制造类 EDA 工具应用在工艺平台开发阶段和晶圆生产阶段。在工艺开发阶段,工 艺平台的器件建模及验证均需依托 EDA 工具实现;在晶圆生产阶段,需根据物理实 现后的设计文件完成制造,从设计到制造实现需要依托统一的软件平台,同时对于 制造结果不符合要求的需要重新返回工艺开发阶段进行调整优化。

  设计类 EDA 工具应用在集成电路设计阶段。设计阶段需要基于晶圆厂提供的 PDK 或 IP 和标准单元库进行电路设计,并需利用 EDA 工具对设计结果进行电路仿真及 验证。

  EDA 工具贯穿于集成电路设计和制造的过程。作为渗透集成电路设计、制造全流程的工 业软件,随着集成电路规模的不断扩大与集成电路行业分工的不断明确,EDA 工具的重 要性更加凸显,其数量也越来越多,形成了以部分关键工具为主、大量其他工具为辅的 设计和制造流程,复杂程度不断提升,开发难度和市场门槛也越来越高。 EDA 作为集成电路产业最上游的子行业,同时也渗透进入教学、科研等其他行业。由于 大多数理工类高校开设了 EDA 课程,因而均需购买 EDA 软件使用版权,利用 EDA 工具 进行电子学实验和简单的系统设计教学,如电路仿真工具 PSPICE、Altera 开发系统等成 为高校老师的教学首选。另外,EDA 工具除了作为电子行业的必备软件,也开辟了新的 应用领域。如 AutoCAD 还可用于建筑设计、机械设计,甚至电影特效。

  EDA 行业持续高景气,杠杆效应显著。据 SEMI 统计,2019 年全球 EDA 市场规模超过 百亿美元,2020 年全球 EDA 市场规模达到 114.67 亿美元,同比增长 11.63%。全球 EDA 市场规模呈稳定增长态势,行业持续高景气。虽然 EDA 行业占据整个芯片行业市场规模 的比例不大,但其以一百亿美元左右的市场规模撬动了价值数万亿美元的芯片行业,杠 杆效应显著。

  后摩尔时代 EDA 行业将与芯片同步发展。摩尔定律指出:约每 18 个月工艺就会进行一 次迭代。经过多次的工艺迭代,半导体器件也在朝着 7nm、5nm、3nm 等节点发展,已 逼近晶体管尺寸的物理极限。据 SIA 及 IEEE 统计,自 2015 年起工艺迭代周期已延长至 24 个月,预计 2022 年工艺迭代(3nm)周期将延长至 30 个月,标志着后摩尔时代的到 来。后摩尔时代芯片设计制造的难度大大增加,小型化和多样化的需求也对 EDA 工具提 出更高的要求,推动 EDA 行业同步发展。 一方面是工艺节点的迭代推进对 EDA 工具提出更高要求,后摩尔时代先进工艺技术继续突破的难度和复杂度激增,每一次工艺节点的突破,均需由工艺水平最先进的晶圆厂、 顶尖 EDA 团队和设计经验丰富的集成电路设计企业三方协力共同推进。一方面是同一 节点下工艺潜能的挖掘对 EDA 工具提出新的要求,实现相同工艺节点下更高的良率和 更优的芯片性能需要依赖性能更佳的 EDA 工具。通过不断挖掘工艺潜能,可实现更高的 集成度和更优的性能,显著增强盈利能力。据 DIGITIMES 调查,英特尔基于 10nm 工艺 节点就可制造出晶体管密度达到 1.06 亿个/mm2的芯片,超过了台积电和三星基于 7nm 工艺节点制造的芯片,基于 7nm 工艺制造的芯片晶体管密度可达 1.8 亿个/mm2,超过 了台积电基于 5nm 工艺制造的芯片,甚至超过了三星电子基于 3nm 工艺制造的芯片。

  创新型设计方法平抑芯片设计成本。EDA 工具技术的进步和应用的推广使得芯片设计成 本保持在合理范围的重要方式,根据华大九天招股书,2011 年设计一款消费级应用处理 器芯片的成本约为 4000 万美元,如果没有 EDA 工具的进步,其相关设计成本或将达到 77 亿美元,EDA 的进步使得设计效率提升了接近 200 倍。

  EDA 上云优势显著。随着 EDA 工具的发展,云平台的重要性日益凸显,云技术在 EDA 芯片设计中的优势为:有效保障企业研发生产效率,避免管理、计算资源不足带来的研 发风险;同时云技术可以有效降低企业的相关维护费用;拜托物理环境影响,能够实现 随时随地办公;有利于在教育中的推广,培养相关的技术人才。目前全球 EDA 龙头企业 上云趋势显著,Cadence 的云服务利用 AWS(Amazon Web Services),将电磁仿线 个 CPU 内核扩展到上千内核的规模;Synopsys 同微软展开合作,在微软 Azure 上 验证解决方案的 9 个小时中,完成了对 AMD Radeon Pro Ⅶ GPU 的验证(超过 130 亿 个晶体管)。

  此前,美国已禁止向中国销售 10 纳米和更先进芯片的 EDA 工具,此次禁令范 围进一步扩大到了 14 纳米。该类型 EDA 软件是使用“Gate-all-around”(环绕栅极,GAA) 新技术制造芯片的必需工具,目前已应用于三星 3nm 芯片的制造。预计该禁令将进一步 削弱国内企业在利用 GAA 技术构建芯片上的能力以及进程。此次禁令的生效范围不仅包 括中芯国际,还包括所有中国地区进行芯片生产的厂商,台积电也在名单之中。

  全球 EDA 行业呈高集中度,头部效应显著。当前全球 EDA 行业龙头企业为新思科技、 铿腾电子和西门子 EDA,由于数十年的高额研发投入和核心技术成果的取得,三家公司 头部优势明显,行业呈寡头垄断格局。据赛迪顾问统计,2018 年、2019 年和 2020 年全 球前三大 EDA 公司市场份额占比分别为 77.1%、77.4%和 77.7%。除新思科技、铿腾电 子和西门子 EDA 三家 EDA 巨头外,ANSYS 和是德科技凭借其在细分领域取得的技术突 破也在行业竞争中崭露头角,2020 年全球前五大 EDA 公司市占率高达 85%。

  根据赛迪智库的数据,2018-2020 年 EDA 领域国内市场销售额分别为 2.8 亿、4.6 亿和 7.6 亿,华大九天在国内稳居本土 EDA 首位,份额保持在 50%以上。国内主要的 EDA 企 业包括华大九天、概论电子、广立微电子、国微集团、芯和半导体。

  后摩尔时代经济效能提升出现瓶颈,Chiplet 技术应运而生。随着半导体制程节点的持续 演进,短沟道效应以及量子隧穿效应带来的发热、漏电等问题愈发严重,追求经济效能 的摩尔定律日趋放缓。在此背景下,产业开始思考将不同工艺的模块化芯片,像拼接乐 高积木一样的方式用先进封装技术整合在一起,成为一个异构集成芯片,在提升性能的 同时实现低成本和高良率,这就是芯粒(Chiplet)技术。

  显著降本优势延续摩尔定律。我们看到,Chiplet 技术迅速发展的原因得益于其在降低成 本并提升芯片性能方面的独特优势,主要体现在以下几个方面:

  小面积设计提升芯片良率:传统的良率模型假设缺陷在晶圆上随机散布,并且芯片 上任何地方的缺陷都会使其无法使用,所以大面积芯片比小面积芯片更可能包含缺 陷,造成芯片良率与芯片面积直接相关。一般来说,裸芯(Die)的面积越小,在缺 陷概率一定的情况下,整体的良率就越高。

  IP 快速复用降低设计成本和复杂度:随着先进工艺的不断推进,基于越先进的工艺 来设计芯片,其面临的复杂度和设计难度也将大幅提升,同时设计成本也将直线上 升。如果在芯片设计阶段,就将大规模的 SoC 按照不同的功能模块分解为一个个的 芯粒,那么部分芯粒则可以做到类似模块化的设计,而且可以重复运用在不同的芯 片产品当中。这样可以极大降低芯片设计的难度和设计成本,同时也有利于后续产品的迭代,加速产品的上市周期。例如,AMD 在第三代锐龙(Ryzen)处理器上复 用了第二代霄龙(EPYC)处理器的 IO Chiplet,这种复用不但可以将“老旧制程”生 产的 Chiplet 继续应用到下一代产品中以节约成本,更能极大地节约设计、验证和生 产周期并降低失败风险。

  针对性选取制程工艺降低制造成本:将 SoC 进行 Chiplet 化之后,不同的芯粒可以 根据需要选择合适的工艺来分开制造,然后再通过先进封装技术进行组装,不需要 全部都采用相同制程的工艺在一块晶圆上进行一体化制造,这样可以极大地降低芯 片的制造成本。对于密集封装的逻辑和存储器,7nm 晶体管比 16nm 晶体管便宜, 但 I/O 接口通常具有模拟电路和其他无法从较小节点中受益的大型功能。因此,许 多小芯片设计将 I/O 功能隔离到在旧节点中制造的单独芯片中。一些逻辑电路(例 如加速器)可能不需要以与主处理器相同的最大时钟速率运行,因此可以在中间节 点中制造,使用较旧的工艺技术可以将这些小芯片的制造成本降低多达 50%。

  Chiplet 复盘-国际巨头的发展之路: AMD :公司是第一个引入小芯片架构的供应商。公司于 2017 年推出初代 Epyc 服务器 处理器,代号为 Naples。2019 年,公司推出了第二代 Epyc 芯片“罗马”,性能是同期英 特尔旗舰处理器的两倍多。AMD 最新的第三代 Epyc 处理器“米兰”同样保留了相同的小 芯片配置。AMD 逐步开始全面采用小芯片技术,构建了自己的 Chiplet 生态体系,生产 了 Ryzen 和 Epyc x86 处理器,并且自使用 7nm 制程生产 Zen2 CPU 内核后,CPU 的性 能比以前的制程提高了 15%。

  三星:推出的 2.5D 封装技术 I-Cube,可将一个或多个逻辑晶片(如 CPU、GPU 等) 和 多个存储芯片(如高频宽存储器、HBM) 整合连结放置在硅中介层( Interposer) 的顶 部,进一步使多个芯片为整合为单个元件工作。2020 年 8 月,三星又宣布推出了新一代 3D 封装技术——X-Cube,基于 TSV 硅穿孔技术将不同芯片堆叠,比如可以将 SRAM 堆 叠到芯片上方,释放了占用空间,可以堆叠更多内存芯片,目前已经可以用于 7nm 及 5nm 工艺。

  台积电的 CoWoS 是 2.5D 集成工艺的主力,由 Xilinx 率先于 2012 年推出。其设计思 路是先将所有逻辑芯片放在硅片上,然后放到封装基板上。所有器件通过其中的球体连 接,是经典的 2.5D 架构。

  台积电于 2017 年发布了 InFO 技术。它使用 polyamide film 代替 CoWoS 中的硅介质 层,从而降低成本和封装高度,这两个因素都是其实现大规模应用的重要条件。台积电 已经大量出货基于 InFO 设计的手机芯片。

  复盘国际厂商看 Chiplet 发展的三大推动因素:摩尔定律减缓带来了小芯片的设计需求, 性能提升、成本降低以及大芯片的缺陷问题是 Chiplet 设计成为趋势的三大推动因素。 总体来说,Chiplet 是后摩尔时代实现性能与成本突破的最优解,国外各大厂商持续布局, 且均已形成一定规模和应用。据 Omdia 数据,2018 年全球 Chiplet 市场规模约为 6.45 亿美元,预计未来随着行业的不断发展,Chiplet 市场规模有望迎来加速增长。

  Chiplet 国内发展:对于中国半导体而言,后摩尔时代 Chiplet 是中国与国外技术差距相 对较小的封装技术领域,国内企业紧跟产业趋势,大力投入研发,积极参与融入 UCIe 大 生态,有望在 Chiplet 行业技术上乘势而上,实现突破。